Методология перехода от программной потактовой модели микропроцессора к аппаратному симулятору на базе программируемой логики

Автор: Байда Юрий Владимирович, Бутузов Александр Валерьевич, Ефимов Андрей Геннадьевич, Цветков Михаил Сергеевич

Журнал: Труды Московского физико-технического института @trudy-mipt

Рубрика: Радиоэлектронные и оптоэлектронные системы

Статья в выпуске: 3 (15) т.4, 2012 года.

Бесплатный доступ

Разработка новой микропроцессорной архитектуры требует принятия большого количества решений, основанных на результатах моделирования производительности. Использование ПЛИС позволяет создать симулятор микропроцессора, обладающий на три порядка большей скоростью, чем традиционные программные симуляторы. В статье представлена оригинальная методология перехода от существующего программного потактового симулятора к аппаратной модели на базе программируемой логики.

Микропроцессор, микроархитектура, потактовая, симулятор, симуляция, производительность, модель, плис

Короткий адрес: https://sciup.org/142185838

IDR: 142185838

Список литературы Методология перехода от программной потактовой модели микропроцессора к аппаратному симулятору на базе программируемой логики

  • Chiou D., Sanjeliwala D., Kim J., [et al ]. The FAST methodology for high-speed SoC/computers simulation//Proceedings of IEEE/ACM international conference on Computer-aided design. -2007. -P. 295-302.
  • Chiou D., Sunwoo D., Kim J., [et al ]. FPGA-accelerated simulation technologies (FAST): fast, full-system, cycle-accurate simulators//Proceedings of the 40th IEEE/ACM annual international symposium on Microarchitecture. -2007. -P. 249-261.
  • Chung E.S., Nurvitadhi E., Hoe J.C., [et al ]. Protoflex: FPGA-accelerated hybrid functional simulator//Proceedings of IEEE international symposium on Parallel and distributed processing. -2007. -P. 1-6.
  • Kim H.S., Smith J.E. An instruction set and microarchitecture for instruction level distributed processing//Proceedings of 29th international symposium on Computer architecture. -2002. -P. 71-81.
  • Nikhil R. Bluespec System Verilog: efficient, correct RTL from high level specifications//Proceedings of the 2nd ACM/IEEE international conference on Formal methods and models for co-design. -2004. -P. 69-70.
  • Pellauer M., Adler M., Kinsy M., [et al ]. HAsim: FPGA-based high-detail multicore simulation using time-division multiplexing//Proceedings of the 17th IEEE international symposium on High performance computer architecture. -2011. -P. 406-417.
  • Pellauer M., Vijayaraghavan M., Adler M., [et al ]. A-Port networks: preserving the timed behavior of synchronous systems for modeling on FPGAs//ACM Transactions on reconfigurable technology and systems. -2009. -V. 2, N. 3. -P. 1-26.
  • Schelle G., Collins J., Schuchman E., [et al ]. Intel Nehalem processor core made FPGA synthesizable//Proceedings of the 18th ACM/SIGDA international symposium on Field programmable gate arrays. -2010. -P. 3-12.
  • Tan Z., Waterman A., Avizienis R., [et al ]. RAMP gold: an FPGA-based architecture simulator for multiprocessors//Proceedings of the 47th ACM/IEEE design automation conference. -2010. -P. 463-468.
  • Wang P.H., Collins J.D., Weaver C.T., [et al ]. Intel Atom processor core made FPGAsynthesizable//Proceeding of the 17th ACM/SIGDA annual international symposium on Field programmable gate arrays. -2009. -P. 209-218.
Еще
Статья научная